Share to: share facebook share twitter share wa share telegram print page

2 nm process

In semiconductor manufacturing, the "2 nm process" is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the "3 nm" process node.

The term "2 nanometer" or alternatively "20 angstrom" (a term used by Intel) has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by the Institute of Electrical and Electronics Engineers (IEEE), a "2.1 nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers.[1]

Process Gate pitch Metal pitch Year
7 nm 60 nm 40 nm 2018
5 nm 51 nm 30 nm 2020
3 nm 48 nm 24 nm 2022
2 nm 45 nm 20 nm 2025
1 nm 42 nm 16 nm 2027

As such, "2 nm" is used primarily as a marketing term by the semiconductor industry to refer to a new, improved generation of chips in terms of increased transistor density (a higher degree of miniaturization), increased speed, and reduced power consumption compared to the previous "3 nm" node generation.[2][3]

As of May 2022, TSMC was expected to begin risk "2 nm" production at the end of 2024 and mass production in 2025;[4][5][needs update] Intel at that time forecasted production in 2024,[6] and Samsung in 2025.[7][needs update]

Background

By 2018, a number of transistor architectures had been proposed for the eventual replacement of FinFET, most of which were based on the concept of GAAFET:[8] horizontal and vertical nanowires, horizontal nanosheet transistors[9][10] (Samsung MBCFET, Intel Nanoribbon), vertical FET (VFET) and other vertical transistors,[11][12] complementary FET (CFET), stacked FET, several kinds of horizontal gate-all-around transistors such as nano-ring, hexagonal wire, square wire, and round wire gate-all-around transistors[13] and negative-capacitance FET (NC-FET) which uses drastically different materials.[14]

In late 2018, TSMC chairman Mark Liu predicted chip scaling would continue to "3 nm" and "2 nm" nodes;[15] however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond "3 nm" could become viable.[16][needs update] TSMC began research on "2 nm" in 2019[17]—expecting to transition from FinFET to GAAFET transistor type.[18][needs update] In July 2021, TSMC received governmental approval to build its "2 nm" plant. In August 2020, it began building an R&D lab for "2 nm" technology in Hsinchu, expected to become partially operational by 2021.[19][needs update] In September 2020, TSMC confirmed this and stated that it could also install production at Taichung depending on demand.[20][needs update] According to the Taiwan Economic Daily (2020), expectations were for high yield risk production in late 2023.[21][22][needs update] According to Nikkei, the company at that time expected to have been installing production equipment for "2 nm" by 2023.[23][needs update]

Intel's 2019 roadmap scheduled potentially equivalent "3 nm" and "2 nm" nodes for 2025 and 2027, respectively, and in December 2019 announced plans for "1.4 nm" production in 2029.[24][needs update]

At the end of 2020, seventeen European Union countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as "2 nm", as well as designing and manufacturing custom processors, assigning up to €145 billion in funds.[25][26][needs update]

In May 2021, IBM announced it had produced chips with "2 nm class" GAAFET transistors using three silicon layer nanosheets with a gate length of 12 nm.[27][28][29]

In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their "2 nm" process node called "Intel 20A",[notes 1] with the "A" referring to angstrom (a unit equivalent to 0.1 nanometers).[30] At the same time, they introduced a new process node naming scheme that aligned their product names with similar designations from their main competitors.[31] Intel's "20A" node was at that time projected to have been their first to move from FinFET to Gate-All-Around transistors (GAAFET); Intel's version was named 'RibbonFET'.[31] Their 2021 roadmap scheduled the Intel "20A" node for volume production in 2024 and Intel "18A" for 2025.[30][31][needs update]

In October 2021, at Samsung Foundry Forum 2021, Samsung announced it would start mass production with its MBCFET (multi-bridge channel FET, Samsung's version of GAAFET) "2 nm" process in 2025.[32][needs update]

In April 2022, TSMC announced its GAAFET "N2" process technology would enter risk production phase at the end of 2024 and production phase in 2025.[4] In July 2022, TSMC announced that its "N2" process technology was expected to feature backside power delivery and was expected to offer 10–15% higher performance at iso power or 20–30% lower power at iso performance and over 20% higher transistor density compared to N3E.[33][needs update]

In July 2022, Samsung made a number of disclosures regarding the company's previously forthcoming process technology called "2GAP" ("2nm Gate All-around Production"): the process previously remained on track for 2025 launch into mass production; number of nanosheets was projected to increase from 3 in "3GAP" to 4; the company worked on several improvements of metallization, namely "single-grain metal" for low-resistance vias and direct-etched metal interconnect planned for "2GAP" and beyond.[34][needs update]

In August 2022, a consortium of Japanese companies funded a new venture with government support called Rapidus for manufacturing of "2 nm" chips. Rapidus signed agreements with imec[35] and IBM[36] in December 2022.[needs update]

In April 2023, at its Technology Symposium, TSMC introduced two more processes of its "2nm" technology platform: "N2P" featuring backside power delivery and scheduled for 2026 and "N2X" for high-performance applications. It was also revealed that ARM Cortex-A715 core fabbed on N2 process using high-performance standard library gained 16.4% of speed at iso power, saved 37.2% of power at iso speed, or gained ~10% of speed and saved ~20% of power simultaneously at iso voltage (0.8 V) compared to the core fabbed on N3E using 3-2 fin library.[37]

"2 nm" process nodes

Samsung[38][34][39][40] TSMC Intel
Process name SF2 SF2P SF2X SF2Z N2 N2P N2X 20A 18A
Transistor type MBCFET GAAFET RibbonFET
Transistor density (MTr/mm2) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
SRAM bit-cell size (μm2) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Transistor gate pitch (nm) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Interconnect pitch (nm) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Release status 2025 volume production[32] 2026 volume production 2026 volume production 2027 volume production 2025 risk production
2025 H2 volume production[41]
2026 H2 volume production[41] 2026 H2 volume production[41] 2024 H1 risk production[42]
2024 volume production[31][30]
Canceled 2024[43]
2024 H2 risk production[42]
2025 H1 production[31][30][44]

Beyond 2 nm

In July 2021, Intel reported that they planned "18A" production for 2025.[30] Intel's February 2022 roadmap added that "18A" was previously expected to have delivered 10% improvement in performance per watt compared to Intel "20A".[6] Intel's August 2024 newsroom announcement further indicated that the "18A" process should be manufacturing-ready for 2025 H1.[45]

In December 2021, Vertical-Transport FET (VTFET) CMOS logic transistor design with a vertical nanosheet was demonstrated at sub-45 nm gate pitch.[46]

In May 2022, imec presented a process technology roadmap which extends the current biannual cadence of node introduction and square-root-of-two node naming rule to 2036. The roadmap ends with process node "A2" (a metaphor for the concept of 2 angstroms), named by analogy with TSMC's naming scheme to be introduced by then.[47]

Apart from dimensional scaling of transistor structures and interconnect, innovations forecast by imec were as follows:[needs update]

  • transistor architecture (forksheet FET, CFET, CFET with atomic (2D material) channel);
  • deployment of high-NA (0.55) EUV tools with the first $400 million tool to be completed at ASML in 2023, and the first production tool to be shipped to Intel in 2025;
  • further reduction of standard cell height (eventually to "less than 4" tracks);
  • back-side power distribution, buried power rails;
  • new materials (ruthenium for metallization (interconnects), graphene, WS2 monolayer for atomic channel);
  • new manufacturing techniques (subtractive metallization, direct metal etch);
  • air gaps to further reduce relative permittivity of intermetal dielectric and, therefore, interconnect capacitance;
  • IC design innovations (2.5D chiplets, 3D interconnect), more advanced EDA tools.

In September 2022, Samsung presented their future business goals, which at that time included an aim to mass-produce "1.4 nm" by 2027.[48]

As of 2023, Intel, TSMC and Samsung have all demonstrated CFET transistors. These transistors are made up of two stacked horizontal nanosheet transistors, one transistor is of the p-type (a pFET transistor) and the other transistor is of the n-type (an nFET transistor).[49]

Notes

  1. ^ Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.[30]

References

  1. ^ INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore, IEEE, 2021, p. 7, archived from the original on 7 August 2022, retrieved 7 August 2022
  2. ^ "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". 10 September 2019. Archived from the original on 17 June 2020. Retrieved 20 April 2020.
  3. ^ Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on 2 December 2020. Retrieved 20 April 2021.
  4. ^ a b "TSMC roadmap update: N3E in 2024, N2 in 2026, major changes incoming". AnandTech. 22 April 2022. Archived from the original on 9 May 2022. Retrieved 9 May 2022.
  5. ^ "TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025". AnandTech. 18 October 2021. Archived from the original on 23 March 2022. Retrieved 23 March 2022.
  6. ^ a b "Intel Technology Roadmaps and Milestones". Intel. 17 February 2022. Archived from the original on 16 July 2022. Retrieved 15 March 2022.
  7. ^ "Samsung Foundry: 2nm Silicon in 2025". AnandTech. 6 October 2021. Archived from the original on 23 March 2022. Retrieved 23 March 2022.
  8. ^ "The Increasingly Uneven Race to 3nm/2nm". 24 May 2021.
  9. ^ "What's Different About Next-Gen Transistors". 20 October 2022.
  10. ^ "Intel's Stacked Nanosheet Transistors Could be the Next Step in Moore's Law".
  11. ^ "Nanowire Transistors Could Keep Moore's Law Alive".
  12. ^ "Nanowires give vertical transistors a boost". 2 August 2012.
  13. ^ "What's After FinFETs?". 24 July 2017.
  14. ^ "Transistor Options Beyond 3nm". 15 February 2018.
  15. ^ Patterson, Alan (12 September 2018), "TSMC: Chip Scaling Could Accelerate", www.eetimes.com, archived from the original on 24 September 2018, retrieved 23 September 2020
  16. ^ Merritt, Rick (4 March 2019), "SPIE Conference Predicts Bumpy Chip Roadmap", www.eetasia.com, archived from the original on 27 June 2019, retrieved 23 September 2020
  17. ^ Zafar, Ramish (12 June 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, archived from the original on 7 November 2020, retrieved 23 September 2020
  18. ^ "Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips", www.digitimes.com, 21 September 2020, archived from the original on 23 October 2020, retrieved 23 September 2020
  19. ^ Wang, Lisa (26 August 2020), "TSMC developing 2nm tech at new R&D center", taipeitimes.com, archived from the original on 24 January 2021, retrieved 23 September 2020
  20. ^ Chien-Chung, Chang; Huang, Frances (23 September 2020), "TSMC to build 2nm wafer plant in Hsinchu", focustaiwan.tw, archived from the original on 25 October 2020, retrieved 23 September 2020
  21. ^ Udin, Efe (23 September 2020), "TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH", www.gizchina.com, archived from the original on 19 October 2021, retrieved 24 September 2021
  22. ^ 台积电2nm工艺重大突破!2023年风险试产良率或达90% (in Chinese), 22 September 2020, archived from the original on 24 September 2021, retrieved 24 September 2021
  23. ^ "Taiwan gives TSMC green light for most advanced chip plant". Nikkei Asia. Archived from the original on 4 November 2021. Retrieved 24 August 2021.
  24. ^ Cutress, Ian, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm", www.anandtech.com, archived from the original on 12 January 2021, retrieved 23 September 2020
  25. ^ Dahad, Nitin (9 December 2020), "EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology", www.eetimes.eu, archived from the original on 10 January 2021, retrieved 9 January 2021
  26. ^ Joint declaration on processors and semiconductor technologies, EU, 7 December 2020, archived from the original on 11 January 2021, retrieved 9 January 2021
  27. ^ Nellis, Stephen (6 May 2021), "IBM unveils 2-nanometer chip technology for faster computing", Reuters, archived from the original on 7 May 2021, retrieved 6 May 2021
  28. ^ Johnson, Dexter (6 May 2021), "IBM Introduces the World's First 2-nm Node Chip", IEEE Spectrum, archived from the original on 7 May 2021, retrieved 7 May 2021
  29. ^ 12 nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5 nm" process node: [1] Archived 24 June 2021 at the Wayback Machine
  30. ^ a b c d e f Cutress, Dr Ian (26 July 2021). "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Archived from the original on 3 November 2021. Retrieved 27 July 2021.
  31. ^ a b c d e Santo, Brian (27 July 2021), "Intel Charts Manufacturing Course to 2025", www.eetimes.com, archived from the original on 19 August 2021, retrieved 11 August 2021
  32. ^ a b "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". Samsung. 7 October 2021. Archived from the original on 8 April 2022. Retrieved 9 May 2022.
  33. ^ "TSMC Q2 2022 Earnings Call" (PDF). TSMC. 14 July 2022. Archived (PDF) from the original on 15 July 2022. Retrieved 22 July 2022.
  34. ^ a b "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". WikiChip Fuse. 5 July 2022.
  35. ^ Manners, David (16 December 2022). "Imec and Rapidus sign up for 2nm". Electronics Weekly.
  36. ^ Humphries, Matthew (13 December 2022). "Japan to Manufacture 2nm Chips With a Little Help From IBM". PCMAG.
  37. ^ "TSMC Outlines 2nm Plans: N2P Brings Backside Power Delivery in 2026, N2X Added To The Roadmap". AnandTech. 26 April 2023.
  38. ^ "Samsung Foundry: 2nm Silicon in 2025". AnandTech. 6 October 2021.
  39. ^ "Samsung Foundry Update: 2nm Unveil in June, Second-Gen SF3 3nm Hits Production This Year".
  40. ^ "Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027".
  41. ^ a b c "TSMC 2nm Update: N2 in 2025, N2P Loses Backside Power, and NanoFlex Brings Optimal Cells".
  42. ^ a b "Intel Unveils Meteor Lake Architecture: Intel 4 Heralds the Disaggregated Future of Mobile CPUs".
  43. ^ "Continued Momentum for Intel 18A". 4 September 2024.
  44. ^ Discuss, btarunr (26 April 2024). "Intel Reports First-Quarter 2024 Financial Results". TechPowerUp.
  45. ^ "Intel 18A powered on and healthy, on track for next-gen client and server chip production next year". Intel. 6 August 2024.
  46. ^ Jagannathan, H.; et al. (2021). "Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices". 2021 IEEE International Electron Devices Meeting (IEDM). pp. 26.1.1–26.1.4. doi:10.1109/IEDM19574.2021.9720561. ISBN 978-1-6654-2572-8. S2CID 247321213.
  47. ^ "Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036". Tom's Hardware. 21 May 2022.
  48. ^ "Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022". Samsung Global Newsroom. 4 October 2022.
  49. ^ "Intel, Samsung, and TSMC Demo 3D-Stacked Transistors - IEEE Spectrum".

Further reading

Preceded by
"3 nm" (FinFET/GAAFET)
MOSFET semiconductor device fabrication process Succeeded by
unknown

This information is adapted from Wikipedia which is publicly available.

Read other articles:

«Руселаре» Повна назва Koninklijke SportVereniging Roeselare Засновано 1921 Населений пункт Руселаре,  Бельгія Стадіон «Схірвелде» Вміщує 9 075 Президент Ів Олів'є Головний тренер Арнольд Мерсьє Ліга Перший ліга Бельгії 2017-18 5-те Домашня Виїзна «Руселаре» (нід. Roeselare) — професійний бельгійсь…

Issa KalantariWakil Presiden IranKepala Kementerian Lingkungan HidupPetahanaMulai menjabat 13 Agustus 2017PresidenHassan RouhaniPendahuluMasoumeh EbtekarMenteri PertanianMasa jabatan19 Agustus 1989 – 14 January 2001PresidenAkbar Hashemi RafsanjaniMohammad KhatamiPendahuluAbbas Ali ZaliPenggantiMahmoud Hojjati (Digabung dalam Kementerian Jihad Pembangunan) Informasi pribadiLahirIsa Kalantari1952 (umur 70–71)Marand, Provinsi Azerbaijan Timur, IranKebangsaanIranPartai poli…

Pertempuran UhudBagian dari Perang Muslim-QuraisyGunung Uhud, lokasi pertempuran kedua antara Muslim dan Quraisy Mekkah.Tanggal23 Maret 625LokasiDi lembah yang terletak di depan Gunung Uhud, sekitar 5 mil dari MadinahHasil kemenangan QuraisyPihak terlibat Pasukan Muslim Pasukan QuraisyTokoh dan pemimpin MuhammadAli bin Abi ThalibHamzah bin Abdul-Muththalib †Mush'ab bin Umair †Abdullah bin Jubair † [1]Mundzir bin Amr [1]Zubair bin Awwam [1]Ubadah bin a…

Sole survivor of LANSA Flight 508 and mammalogist Juliane KoepckeKoepcke in April 2019Born (1954-10-10) 10 October 1954 (age 69)Lima, PeruOccupationMammalogistSpouse Erich Diller ​ ​(m. 1989)​RelativesHans-Wilhelm Koepcke (father)Maria Koepcke (mother) Juliane Margaret Beate Koepcke (born 10 October 1954), also known by her married name Juliane Diller, is a German-Peruvian mammalogist who specialises in bats. The daughter of German zoologists Maria and Han…

Penusukan Saskatchewan 2022James Smith Cree NationWeldonPenusukan Saskatchewan 2022 (Saskatchewan)Tampilkan peta SaskatchewanWeldonPenusukan Saskatchewan 2022 (Kanada)Tampilkan peta KanadaLokasiJames Smith Cree Nation dan Weldon, Saskatchewan, KanadaTanggal4 September 2022 (2022-09-04) 5:40 a.m. – 12:07 p.m. (UTC−06:00)Jenis seranganPenusukan massalPenembakanKorban tewas11 (termasuk satu tersangka)Korban luka18Dituduh Damien Sanderson (tewas)[1][2] Myles Sanderson T…

Historic house in Rhode Island, United States United States historic placeE.A. Burnham HouseU.S. National Register of Historic Places E.A. Burnham HouseShow map of Rhode IslandShow map of the United StatesLocationPawtucket, Rhode IslandCoordinates41°52′58″N 71°23′20″W / 41.88278°N 71.38889°W / 41.88278; -71.38889Built1902ArchitectAlbert H. HumesMPSPawtucket MRANRHP reference No.83003806 [1]Added to NRHPNovember 18, 1983 The E. A. Burnham Hous…

Опис файлу Опис Музей у селі Ягільниця Чортківського району Тернопільської області. Джерело Власна робота Час створення 2019 Автор зображення Максим Огородник Ліцензія Я, власник авторських прав на цей твір, публікую його на умовах такої ліцензії: Цей твір поширюється на у

2002 film by Hansal Mehta Yeh Kya Ho Raha HaiDirected byHansal MehtaWritten bySuparn VermaProduced byPammi BawejaHarman Baweja[1]StarringPrashant ChiananiAamir AliDeepti DaryananiPayal RohatgiSamita BangargiPakhi TyrewalaCinematographyChirantan DasEdited byHemal KothariMusic byShankar–Ehsaan–LoyProductioncompanyBaweja MoviesDistributed byShemaroo EntertainmentRelease date 11 October 2002 (2002-10-11) CountryIndiaLanguageHindi Yeh Kya Ho Raha Hai (What Is Happening?) is…

هذه المقالة يتيمة إذ تصل إليها مقالات أخرى قليلة جدًا. فضلًا، ساعد بإضافة وصلة إليها في مقالات متعلقة بها. (يوليو 2019) أي كومي (باليابانية: 久米愛)‏    معلومات شخصية الميلاد 7 يوليو 1911  أوساكا  تاريخ الوفاة 14 يوليو 1976 (65 سنة)   مواطنة اليابان  الحياة العملية المدرسة ا…

American technology company Frank (company)IndustryTechnologyFounded2016; 7 years ago (2016)FounderCharlie JaviceDefunct2023 (2023)HeadquartersNew York CityParentJPMorgan Chase Frank was an American technology company that helped students find free scholarship money through an online college financial planning platform.[1] Launched in 2016 by 24-year-old Charlie Javice, the software guided students through the online FAFSA (Free Application for Federal Student Aid)…

British television series MongrelsThe logo for MongrelsGenreSitcomBlack comedyCreated byAdam MillerWritten byJon BrownDaniel PeakDirected byAdam MillerStarringAndy HeathIestyn EvansRichard CoombsWarrick Brownlow-PikeDarryl WorbeyVoices ofRufus JonesLucy MontgomeryKaty BrandDan TetsellPaul KayePhil CornwellTheme music composerJoe HensonRichie WebbCountry of originUnited KingdomNo. of series2No. of episodes17 (plus 1 unbroadcast pilot and a behind the scenes featurette) (list of episodes)Productio…

The Scarlet OperaBackground informationAlso known asPerta (2016–2022)OriginLos Angeles, CaliforniaYears active2016–presentLabelsRepublic RecordsMembers Luka Bazulka (Vocals) Colin Kendrick (Keyboard) Daniel Zuker (Bass) Justin Siegal (Drums) Chance Taylor (Guitar) Websitethescarletopera.com The Scarlet Opera, originally formed as Perta, is an American rock band from Los Angeles, California. Its members include Luka Bazulka (Lead Vocalist), Colin Kendrick (Pianist), Daniel Zuker (Bassist), Ju…

Resuscitative hysterotomy A baby being removed from its dying mother's womb. Reproduction of woodcut, 1483. تسميات أخرى Perimortem Caesarean section (PMCS), perimortem Caesarean delivery (PMCD) معلومات عامة الاختصاص طب التوليد، طب الطوارئ من أنواع بضع الرحم  المضاعفات مرض المثانة, injury to the baby[1] تعديل مصدري - تعديل   بضع الرحم الإنعاشي، ويشار إلى ه …

2002 studio album by Los LobosGood Morning AztlánStudio album by Los LobosReleasedJune 4, 2002StudioCRG Studio, Rowland Heights, CaliforniaSunset Sound Factory, Hollywood, CaliforniaGenreChicano Rock, Roots Rock, Americana, Tex-MexLength47:46LabelMammoth Records[1]ProducerLos Lobos, John LeckieLos Lobos chronology El Cancionero Mas y Mas(2000) Good Morning Aztlán(2002) The Ride(2004) Professional ratingsReview scoresSourceRatingAllMusic[2]Rolling Stone[3] Good M…

Stirena Nama Nama IUPAC (preferensi) Phenylethene Nama IUPAC (sistematis) Etenilbenzena Nama lain Vinil benzena; cinnamene; stirol; feniletena; diarex HF 77; stirolena; stiropol; feniletilena Penanda Nomor CAS 100-42-5 Y Model 3D (JSmol) Gambar interaktif 3DMet {{{3DMet}}} ChEBI CHEBI:27452 Y ChEMBL ChEMBL285235 Y ChemSpider 7220 Y Nomor EC KEGG C07083 N PubChem CID 7501 Nomor RTECS {{{value}}} UNII 44LJ2U959V Y CompTox Dashboard (EPA) DTXSID2021284 InChI InChI=1S/C…

American baseball player Baseball player Pat DodsonFirst basemanBorn: (1959-10-11) October 11, 1959 (age 64)Santa Monica, California, U.S.Batted: LeftThrew: LeftProfessional debutMLB: September 5, 1986, for the Boston Red SoxNPB: April 9, 1986, for the Kintetsu BuffaloesLast appearanceMLB: June 22, 1988, for the Boston Red SoxNPB: April 15, 1989, for the Kintetsu BuffaloesMLB statisticsBatting average.202Home runs4Runs batted in10N…

Preserved battlefields of the American Civil War in Georgia and Tennessee, United States Chickamauga and Chattanooga National Military ParkCannon RowLocation in TennesseeShow map of TennesseeLocation in United StatesShow map of the United StatesLocationCatoosa, Dade, & Walker County, Georgia & Hamilton County, Tennessee, United StatesNearest cityChattanooga, TennesseeCoordinates34°56′24″N 85°15′36″W / 34.94000°N 85.26000°W / 34.94000; -85.26000Are…

30th President of Bolivia In this Spanish name, the first or paternal surname is Segundo and the second or maternal family name is Guzmán. Felipe Segundo Guzmán30th President of BoliviaProvisionalIn office3 September 1925 – 10 January 1926Vice PresidentVacantPreceded byBautista SaavedraSucceeded byHernando Siles Reyes Other offices Minister of War and ColonizationIn office12 November 1927 – 26 January 1928PresidentHernando Siles ReyesPreceded byAurelio Meleán…

The following is a list of Playboy Playmates of 1984, the 30th anniversary year of the publication. Playboy magazine names their Playmate of the Month each month throughout the year. January Main article: Penny Baker Penny BakerPersonal detailsBorn (1965-10-05) October 5, 1965 (age 58)Buffalo, New York[1]Height5 ft 8 in (1.73 m)[1] Penny Baker (born October 5, 1965)[1] is an American model and actress. After being interviewed in Chicago, she was chosen…

三菱ふそう・6S系エンジンは、三菱ふそうトラック・バスが製造する車両に搭載される水冷4ストローク直列6気筒DOHC24バルブディーゼルエンジンである。 概要 従来、三菱ふそうの大型トラックや高速・観光バスにおいては6R10が搭載されていた。6S10は2017年9月以降のポスト・ポスト新長期規制の適用に際して6R20との二本立てにより対応を行うことになり開発された機種であ…

Kembali kehalaman sebelumnya

Lokasi Pengunjung: 3.21.100.52